Koti Etukäteen ajattelu Tutustu puolijohdepiirit-konferenssin (isscc) kohokohtiin

Tutustu puolijohdepiirit-konferenssin (isscc) kohokohtiin

Sisällysluettelo:

Video: Introduction to Electrical Control Panels including PLCs and HMIs (Lokakuu 2024)

Video: Introduction to Electrical Control Panels including PLCs and HMIs (Lokakuu 2024)
Anonim

Olemme kuulleet paljon Mooren lain hidastumisesta viime aikoina, ja vaikka se näyttää totta tietyissä tapauksissa, puolijohdeliiketoiminnan muissa osissa, edistytään jatkuvasti. Viime viikon kansainvälisellä solid-state Circuits -konferenssilla (ISSCC) suurten sirujen trendit näyttivät liittyvän uusien materiaalien, uusien tekniikoiden ja uusien ideoiden käyttöön siirtämään transistorin tiheyttä suuremmaksi ja parantamaan energiatehokkuutta. Se ei tietenkään ole oikein uutisia. Näimme tämän heijastuvan puheissa logiikkapiirien tuottamisesta uusille 7nm: n prosesseille, 512 Gb 3D NAND -piireiden luomiseen ja moniin uusiin prosessoreihin.

Sirun suunnittelijat harkitsevat transistorien uusia rakenteita ja materiaaleja, kuten yllä olevassa TSMC: n diassa esitetään. Lisäksi käytiin paljon keskusteluja uusista työkaluista transistorien valmistamiseksi, mukaan lukien litografian edistykset, kuten EUV ja suunnattu itsekokoonpano, sekä uusia tapoja pakata useita suulakkeita yhdessä.

Ennen kuin kaivaudun yksityiskohtiin, minusta on melko hämmästyttävää, kuinka pitkälle siruteollisuus on tullut ja kuinka tunkeutuvat sirut ovat tulleet päivittäisessä elämässämme. Texas Instruments CTO Ahmad Bahai totesi esityksessään, että vuonna 2015 teollisuus myi keskimäärin 109 pelimerkkiä jokaiselle planeetan henkilölle. Hänen puheessaan keskityttiin siihen, kuinka yhden sovelluksen hallitsemien markkinoiden sijasta - ensin tietokoneet, sitten matkapuhelimet - teollisuuden on nyt keskityttävä enemmän "kaiken älykkäämmäksi tekemiseen", koska erilaiset sirut löytävät tiensä valtavaan määrään sovelluksia.

Alalla on kuitenkin suuria haasteita. Niiden yritysten lukumäärä, joilla on varaa rakentaa huipputekniset logiikan valmistuslaitokset, on vähentynyt kaksikymmentäkaksesta 130 nm: n solmussa nykyään vain neljään yritykseen 16/14 nm: n solmulla (Intel, Samsung, TSMC ja GlobalFoundries) uuden prosessin avulla Teknologia maksaa miljardeja kehitystä, ja uudet laitokset maksavat vielä enemmän. Tosiaankin, viime viikolla Intel ilmoitti kuluttavansa 7 miljardia dollaria 7nm: n kehittämiseen muutaman vuoden sitten Arizonassa rakennetun fab-kuoren päällä.

Silti järjestettiin useita esityksiä eri yritysten suunnitelmista siirtyä 10 nm: n ja 7 nm: n prosesseihin.

TSMC on käynnistänyt 10nm: n prosessinsa, ja ensimmäinen ilmoitettu siru oli Qualcomm Snapdragon 835, jonka erääntyy pian. TSMC voi olla kauimpana kaupallistamassa sitä, mitä se kutsuu 7 nm prosessiksi, ja ISSCC: ssä se kuvasi toimivan 7 nm SRAM -testipiirin. Tässä käytetään nyt standardin mukaista FinFET-transistorikonseptia, mutta joidenkin kanssa piiri tekniikoita, joiden avulla se toimii luotettavasti ja tehokkaasti pienemmässä koossa. Erityisesti TSMC: n mukaan se tuottaa ensimmäisen version 7nm: n siruistaan ​​käyttämällä upotus litografiaa sen sijaan, että odottaa EUV: tä kuten suurin osa kilpailijoistaan.

Muista, että se, mitä kukin isoista valmistajista kutsuu 7nm: ksi, vaihtelee valtavasti, joten tiheyden suhteen on mahdollista, että TSMC 7nm -prosessi on samanlainen kuin Intelin tuleva 10nm: n prosessi.

Samsung työskentelee myös 7 nanometrillä, ja yritys on tehnyt selväksi, että se aikoo odottaa EUV: tä. Näyttelyssä Samsung puhui EUV-litografian eduista ja edistyksestä, jota se on saavuttanut tekniikan käytössä.

3D NAND

Jotkut mielenkiintoisimmat ilmoitukset koskivat 512 Gt 3D NAND-salamaa, ja osoittivat kuinka nopeasti NAND-salaman tiheys kasvaa.

Western Digital (joka on hankkinut SanDiskin) puhui 512 Gt: n 3D NAND -laitteesta, jonka se ilmoitti ennen näyttelyä, ja selitti kuinka tämä laite kasvattaa edelleen tällaisten sirujen tiheyttä.

Tämä tietty siru käyttää 64 kerrosta muistisoluja ja kolme bittiä per solu saavuttaakseen 512Gb suulakkeella, jonka koko on 132 neliömetriä. Se ei ole aivan yhtä tiheä kuin Micron / Intel 3D NAND -malli, joka käyttää erilaista arkkitehtuuria ryhmän alla olevalla oheispiirillä (CuA) 768 Gt: n saavuttamiseksi 179 neliömetrin muotissa, mutta se on hieno askel eteenpäin. WD ja Toshiba kertoivat pystyvänsä parantamaan luotettavuutta ja nopeuttamaan lukuaikoja 20 prosentilla ja saavuttamaan kirjoitusnopeuden 55 megatavua sekunnissa (MBps). Tämä tapahtuu pilottituotannossa ja johtuu volyymituotannosta vuoden 2017 jälkipuoliskolla.

Ei pidettävä yllä, Samsung esitteli uuden 64-kerroksisen 512 Gb 3D NAND -sirunsa vuoden kuluttua siitä, kun se näytti 48-kerroksisen 256 Gt: n laitteen. Yritys osoitti suurta pistettä osoittaakseen, että vaikka 2D NAND-salaman aluetiheys kasvoi 26 prosenttia vuodessa 2011 - 2016, se on pystynyt kasvattamaan 3D NAND-salaman aluetiheyttä 50 prosentilla vuodessa sen jälkeen kun se on otettu käyttöön kolme vuotta sitten.

Samsungin 512 Gb-sirun, joka käyttää myös kolme bittiä per solu -tekniikkaa, muotin koko on 128, 5 neliömetriä, mikä tekee siitä hiukan tiheämmän kuin WD / Toshiba -malli, vaikkakaan ei aivan yhtä hyvä kuin Micron / Intel-malli. Samsung vietti suuren osan puheestaan ​​kuvaamalla, kuinka ohuempien kerrosten käyttäminen on esittänyt haasteita ja kuinka se on luonut uusia tekniikoita, joilla vastataan näiden ohuempien kerrosten käytön luomiin luotettavuus- ja tehonhaasteisiin. Se sanoi, että lukemisaika on 60 mikrosekuntia (peräkkäiset lukemat 149MBps) ja kirjoituskyky on 51MBps.

On selvää, että kaikki kolme suurta NAND-leiriä tekevät hyvää prosessia, ja tuloksen pitäisi olla tiheämpi ja lopulta halvempi muisti kaikista.

Uudet yhteydet

Yksi aiheista, joista olen viime aikoina löytänyt mielenkiintoisimpia, on käsitys sulautetusta monisulakkeesta yhdyskytkentäsillasta (EMIB), vaihtoehto muille ns. 2.5D-tekniikoille, jotka yhdistävät useita kuolla yhdellä sirupaketilla, joka on halvempi, koska se ei vaadi piin välittäjää tai piisäkettä. Näyttelyssä Intel puhui tästä kuvatessaan 14 nm: n 1 GHz: n FPGA: ta, jonka suulakkeen koko on 560 mm 2 ja jota ympäröi kuusi 20 nm: n die-lähetin-vastaanotinta, jotka on valmistettu erikseen, jopa mahdollisesti muilla tekniikoilla. (Tämä on oletettavasti Stratix 10 SoC.) Mutta siitä tuli mielenkiintoisempaa myöhemmin viikolla, kun Intel kuvasi, kuinka se käyttäisi tätä tekniikkaa Xeon-palvelinpiirien luomiseen 7 nm: ssä ja kolmannen sukupolven 10 nm: iin.

Suorittimet ISSCC: ssä

ISSCC näki useita ilmoituksia uusista prosessoreista, mutta siruilmoitusten sijaan keskityttiin tekniikkaan, jonka avulla sirut saadaan toimimaan mahdollisimman hyvin. Olin kiinnostunut näkemään uusia yksityiskohtia monille erittäin odotettavissa oleville siruille.

Odotan uusien Ryzen-sirujen käyttävän AMD: n uutta ZEN-arkkitehtuuria lähiaikoina, ja AMD antoi paljon enemmän teknisiä yksityiskohtia Zen-ytimen suunnittelusta ja erilaisista välimuiskeista.

Tämä on 14 nm: n FinFET-siru, joka perustuu perussuunnitteluun, joka koostuu ydinkompleksista, jossa on 4 ydintä, 2 Mt: n tason 2 välimuisti ja 8 Mt: n 16-suuntainen assosiatiivisen tason 3 välimuisti. Yhtiö kertoo 8-ytimisen kantataajuuden, 16-kierre versio on 3.4GHz tai korkeampi, ja sanoi, että siru tarjoaa yli 40 prosentin parannuksen ohjeissa jaksoa kohti (IPC) kuin aikaisempi AMD-suunnittelu.

Tuloksena on uusi ydin, jonka AMD väittää on tehokkaampi kuin Intelin nykyinen 14 nanometrin malli, vaikka tietysti joudumme odottamaan lopullisia siruja nähdäksesi todellisen suorituskyvyn.

Kuten aikaisemmin on kuvattu, tämä on alun perin saatavana Summit Ridge -nimellä tunnettuina työpöydän siruina, ja sen on tarkoitus olla valmis viikossa. Napoli-niminen palvelinversio on tarkoitus julkaista toisella vuosineljänneksellä, ja APU, jossa on integroitu grafiikka pääasiassa kannettaville tietokoneille, ilmestyy myöhemmin tänä vuonna.

IBM kertoi tarkemmin Power9-siruista, jotka se debytoi Hot Chipsillä, suunniteltu huippuluokan palvelimille ja kuvataan nyt "optimoitua kognitiiviseen tietojenkäsittelyyn". Nämä ovat 14 nanometrin siruja, jotka ovat saatavana versioina sekä pienentämään (24 ytimellä, joka pystyy käsittelemään 4 samanaikaista säiettä) että suurentamaan (12 ytimellä, jotka käsittelevät 8 samanaikaista säiettä.) Sirut tukevat CAPI: tä (Coherent Accelerator Processor) Käyttöliittymä) mukaan lukien CAPI 2.0 käyttämällä PCIe Gen 4 -linkkejä nopeudella 16 gigabittiä sekunnissa (Gbps); ja OpenCAPI 3.0, joka on suunniteltu toimimaan nopeudella 25 Gbps. Lisäksi se toimii NVLink 2.0: n kanssa yhteyksissä Nvidian GPU-kiihdyttimiin.

MediaTek antoi yleiskatsauksen tulevasta Helio X30: sta, 2, 8 GHz: n 10 ytimen matkapuhelimen prosessorista, joka on huomattava sen vuoksi, että se on ensimmäinen yritys, joka tuotetaan 10 nm: n prosessilla (oletettavasti TSMC: ssä).

Tämä on mielenkiintoista, koska siinä on kolme erilaista ydinkompleksia: ensimmäisessä on kaksi ARM Cortex-A73-ydintä, jotka toimivat 2, 8 GHz: llä ja jotka on suunniteltu käsittelemään raskastehtäviä nopeasti; toisessa on neljä 2, 5 GHz A53-ydintä, jotka on suunniteltu tyypillisimpiin tehtäviin; ja kolmannessa on neljä 2, 0 GHz A35-ydintä, joita käytetään puhelimen ollessa lepotilassa tai erittäin kevyissä tehtävissä. MediaTek sanoo, että pienitehoinen A53-klusteri on 40 prosenttia tehokkaampi kuin suuritehoinen A73-klusteri ja että erittäin pienitehoinen A35-klusteri on 44 prosenttia tehokkaampi kuin pienitehoinen klusteri.

Näyttelyssä oli paljon akateemisia artikkeleita, jotka koskivat erityisesti koneoppimiseen suunniteltuja siruja. Olen varma, että näemme paljon enemmän painotusta tähän eteenpäin, GPU: sta passiivisesti rinnakkaisiin prosessoreihin, jotka on suunniteltu käsittelemään 8-bittistä tietojenkäsittelyä, neuromorfisiin siruihin ja mukautettuihin ASIC: iin. Se on syntyvä kenttä, mutta kenttä kiinnittää uskomattoman paljon huomiota tällä hetkellä.

Vielä kauempana, suurin haaste voi olla siirtyminen kvanttilaskentaan, joka on aivan erilainen tapa suorittaa laskenta. Vaikka näemme lisää investointeja, näyttää siltä, ​​että on vielä kaukana siitä, että siitä tulee valtavirran tekniikkaa.

Sillä välin voimme kuitenkin odottaa paljon hienoja uusia siruja.

Michael J. Miller on yksityisen sijoituspalveluyrityksen Ziff Brothers Investmentsin pääjohtaja. Miller, joka oli PC Magazine -lehden päätoimittaja vuosina 1991-2005, kirjoittaa tämän blogin PCMag.com-sivustolle kertoakseen ajatuksiaan tietokoneisiin liittyvistä tuotteista. Tässä blogissa ei tarjota sijoitusneuvoja. Kaikista velvollisuuksista luovutaan. Miller työskentelee erikseen yksityiselle sijoituspalveluyritykselle, joka voi milloin tahansa sijoittaa yrityksiin, joiden tuotteista keskustellaan tässä blogissa, eikä arvopaperikauppoja julkisteta.

Tutustu puolijohdepiirit-konferenssin (isscc) kohokohtiin